摘要:为了实现对汽车超载的控制,提出了一种基于FPGA的超载
报警器系统设计方案,并完成系统的软硬件设计。该系统的硬件部分主要用来输入模拟电压信号,软件部分采用VHDL语言进行编程,能够完成对汽车超载的测量,有效地控制汽车的装载。实验表明,该系统具有测试质量准确的特点,达到了设计要求。
关键词:汽车超载 应变片 报警器
Overload alarm for car
Caodiandian Yangbinbin Zhangmalong
Nanjing College of Information Technology
Abstract: in order to realize the control of the automobile overload, proposed one kind based on the FPGA overload alarm system design, and complete the system hardware and software design. The hardware of the system is mainly used for the input analog voltage signal, software uses VHDL programming language, can be completed on the automobile overload measurement, effective control of car loading. Experimental results show that, the system has the quality of
test accuracy, has achieved the design requirements. Key words: automobile overload strain alarm.
一、设计目的及意义
车辆超载是指运输车辆所载的货物质量超过了额定装载质量情况,车辆超载有着以下危害:1.车辆超载会增加车辆对路面的损坏,按照国际上通用的计算方法,汽车轴载质量每增加一倍,公路受损将增16倍,全国公路每年因车辆超载造成的损失超过300亿元。2.容易引发道路交通事故,汽车超载之后,车辆处于超负荷状态行驶,会导致车辆的制动和操作性能迅速下降,表现为轮胎爆裂、刹车失灵、转向器轻飘抖动、钢板弹簧折断、半轴断裂等等。据统计载重货车道路交通事故中有40%以上是由于超载运输所造成的。可见对于如何限制汽车超载的研究对道路的保养和减少交通事故有极其重要的意义。
二、总体设计
工作原理
传感器送出电信号 经放大器放大 通过AD转换器转换成对数字信号 由芯片程序控制蜂鸣器报警 采用应变片压力传感器将被测汽车的质量转化为电信号,传入运算放大器,经放大器经电压信号放大,然后将模拟信号传入模数转换器转
换成数字信号,随后数字信号传入芯片,由芯片内的程序控制蜂鸣器报警。
三、硬件设计
硬件器件
传感器型号:GYJ型钢筋应变片压力传感器 1. 量程规格 :4厘米 2.9吨-3吨/厘米 2. 过载能力:150%安全超载,200%极限超载。 3. 测量精度:0.1%(线性误差)。 4. 输入电压:+5V
5. 输入输出电阻:120欧姆 6. 输出灵敏度:0—2mV±0.1%。 7. 温度:-10℃至50℃
8. 场合:测量钢筋应变力或用于重物测量 。
汽车压过传感器送出电信号 放大器将信号进行放大 A/D转换器将模拟信号转换为数字信号 应变片传感器
测量压力的传感器比较多,例如:压电式压力传感器可以用于超载的测量,但是压电式压力传感器对放大器的要求比较高,对绝缘要
求高,价格比较贵,而且用于静态测量有点困难,综合考虑不如用应变片传感器更容易实现。
电阻应变片是一种将被测件上的应变变化转换成一种电信号的敏感器件。它是压阻式应变传感器的主要组成部分之一。电阻应变片应用最多的是金属应变片和半导体应变片两种。金属应变片又有丝状应变片和金属箔应变片两种。通常是将应变片通过特殊的粘和剂紧密的粘合在生产力学应变基体上,当基体受力发生应力变化时,电阻应变片也一起产生形变,是应变片的阻值发生改变,从而使加载电阻上的电压发生变化。
应变片传感器结构
原理图
i
四、 模/数转换器ADC128
引脚功能
ADC128芯片有16条引脚,下面说明各引脚功能。 IN0~IN7:8路模拟量输入端,4到11脚。
SCLK :数字时钟输入,保证输入频率0.3MHz到3.2MHz之间,
直接控制转换和读出过程,16脚。
DOUT :数字数据输出,在SCLK为下降沿是,输出时钟进行采样,15脚。
DIN :数字数据输入,14脚。
CS :片选,在下降沿CS,转换过程开始,转换过程只要CS为低电平,1脚。
Va :正模拟电源引脚,也可用作参考电压,该引脚应连接到一个
+2.7V到+5.25V电源和旁路以GND与1uF和0.1uF位于独石陶瓷电容器的1cm的电源,2脚。
Vd :正数字电源引脚,13脚。 AGND :为模拟电源和信号地线,3脚。 DGND :为数字电源和信号地线,12脚。
五、程序
采用EP4CE15芯片,将数字信号处理成并行数据,然后根据设计的数值对蜂鸣器进行控制。 Library ieee;
use ieee.std_logic_1164.all; entity shift3 is
port(din,f10Mhz,load,:in std_logic;
dout: out std_logic_vector(1 downto 0);; dload:in std_logic_vector(7 downto 0)); end shift3;
architecture a of shift3 is
signal dtmp:std_logic_vector(7 downto 0); signal qt:std_logic_vector(7 downto 0); signal cnt:integer range 0 to 10000000; signal clk:std_logic; begin
process(f10Mhz) begin
if f10Mhz’event and f10Mhz=’1 then
if cnt=4999999 then cnt<=0;clk<=not clk; else cnt<=cnt+1; end if; end process;
process(clk) begin
if clk’event and clk=’1’ then if load=’1 ’ then
dtmp<=dload; else
dtmp(7 downto 0)<=dtmp(6 downto 0)&din; end if; end if;
六、总结
在设计中,遇到不少困难,经过查看资料对传感器有了一定的了解,对VHDL语言也逐渐地了解和熟悉。该设计采用模块化设计,提高了系统的可靠性制,该设计能有效地控制汽车超载,在实际应用中测量准确,稳定可靠,达到了设计的要求。 参考文献
1.华永平 模拟电子技术与应用 电子工业出版社 2.李玲 数字逻辑电路测试与设计 机械工业出版社
3.顾斌 姜志鹏 刘磊 数字电路EDA设计 西安电子科技大学出版社
因篇幅问题不能全部显示,请点此查看更多更全内容